Development of 10 kV 4H-SiC JBS diode with FGR termination

advertisement
Vol. 35, No. 7
Journal of Semiconductors
July 2014
Development of 10 kV 4H-SiC JBS diode with FGR termination
Huang Runhua(黄润华)2; Ž , Tao Yonghong(陶永洪)2 , Cao Pengfei(曹鹏飞)2 , Wang Ling(汪玲)2 ,
Chen Gang(陈刚)1 , Bai Song(柏松)1 , Li Rui(栗瑞)2 , Li Yun(李赟)1 , and Zhao Zhifei(赵志飞)1
1 Science
2 Nanjing
and Technology on Monolithic Integrated Circuits and Modules Laboratory, Nanjing 210016, China
Electronic Devices Institute, Nanjing 210016, China
Abstract: The design, fabrication, and electrical characteristics of the 4H-SiC JBS diode with a breakdown voltage
higher than 10 kV are presented. 60 floating guard rings have been used in the fabrication. Numerical simulations
have been performed to select the doping level and thickness of the drift layer and the effectiveness of the edge
termination technique. The n-type epilayer is 100 m in thickness with a doping of 6 1014 cm 3 . The on-state
voltage was 2.7 V at JF D 13 A/cm2 .
Key words: 4H-SiC; JBS diodes; edge termination; floating guard rings
DOI: 10.1088/1674-4926/35/7/074005
EEACC: 2520
1. Introduction
Because of the superior material properties, 4H silicon carbide (4H-SiC) is regarded as an ideal semiconductor for high
temperatureŒ1 , high power, high radiation conditions. Nowadays, silicon is the most widely used semiconductor material in
power devices, but devices in Si are limited in terms of its junction operating temperature at 125 ıC. Compared to devices in
conventional semiconductor materials, SiC devices are able to
operate at much higher temperatures. Due to its large bandgap
(3.26 eV), SiC has negligible intrinsic carrier generation at high
temperatures up to 300 ıC. It is a better candidate for high
power applications.
The PiN diode is a good choice because of its lower leakage current, but the forward voltage of the PiN diode is higher
than the SBD (Schottky barrier diode) diode, and it is also limited by forward voltage degradation due to the material quality. The SBD diode will be commercialized before the PiN
diode because of its lower forward voltage, and the reliability of the Schottky diode is not limited by the forward voltage
drift. Due to the lower barrier height of the Schottky contact
and the Schottky barrier height lowering that results under a
high electric field, the 4H-SiC SBD diode presents a leakage
current higher than the 4H-SiC PiN diode; however, the 4HSiC JBS (junction barrier Schottky) diodeŒ2 by the improvement of SBD exhibits a higher performance for a breakdown
voltage lower than 10 kV. The demonstration of 4H-SiC SBD
diodes up to 10.8 kV by using a multistep junction termination
extension (MJTE) has been reportedŒ3; 4 . In most of the ultrahigh voltage power devices, JTE was widely used because of its
high termination efficiencyŒ5 . A breakdown voltage of 21.7 kV
was achieved by using a “space-modulated” JTE structure with
a wide optimum JTE-dose window to tolerate the impact of the
interface chargeŒ6 . But in the fabrication of the JBS diode, two
implantations must be performed. The termination efficiency
of floating guard ring (FGR) termination is lower than that of
JTE, but the FGR can be formed together with the active region.
In this paper, based on the previous studiesŒ7 9 , we report
a 10 kV 4H-SiC diode with FGR termination. First, the simulations of FGR termination by finite elements method will be
performed to study the ring’s spacing and interface charge between semiconductor and insulator. After a brief description of
the technological process, diodes characterization will be presented for both forward and reverse modes.
2. Simulation
The idea behind the JBS structures is to protect the Schottky barrier from the high electric field when the device is
blocking reverse voltage. Current from the anode contact flows
through a vertical region formed by two adjacent pC regions,
through the lightly doped drift layer, and then to the cathode
contact. The cross-sectional view of a 4H-SiC JBS diode is
shown in Fig. 1. The distances of two adjacent pC regions and
the width of pC are respectively 4.0 m and 2.0 m.
Simulations by the finite elements method using the SILVACO ATLAS TCAD software have been performed to determine the parameters of the drift region such as thickness
and doping without considering the termination. The following
models have been used for breakdown analysis of the 10 kV
4H-SiC diode, the Shockley-Read-Hall (SRH) and Auger recombination model, avalanche generation, bandgap narrowing,
impact ionization, and an incomplete ionization model. For a
theoretical blocking voltage of 13300 V, the parameters: Wn
D 100 m and Nd D 6 1014 cm 3 have been chosen. A safety
margin in the blocking voltage has been taken to include the incertitudes with respect to SiC technology that is not yet quite
mature.
Floating guard ring termination is made of highly p-type
rings, the doping level of the rings has no major influence
on the termination efficiency. 60 guard rings with a width of
4 m were used in the simulations to reduce the amount of
field crowding at the main junction by spreading the depletion
layer past consecutively lower potential floating junctions. The
total termination width is 450 m. The simulations show that
† Corresponding author. Email: huruhu@hotmail.com
Received 17 December 2013, revised manuscript received 18 February 2014
074005-1
© 2014 Chinese Institute of Electronics
J. Semicond. 2014, 35(7)
Huang Runhua et al.
Fig. 1. Schematic device cross-sectional view of a 10000 V 4H-SiC JBS diode.
the electric field distribution is very sensitive toward the ring’s
spacing, so they must be carefully selected.
Figure 2 shows the electric field distributions for reverse
bias 10 kV for five different ring spacings. From the fist ring,
the ring spacing increases gradually. The electric field distributions of termination have been optimized by the simulations. To
avoid the breakdown at both ends of the termination, the termination T has been chosen. The electric field at the both ends is
lower than that in the middle of the termination. A small ring
spacing means that the electric field crowds at the end of the
termination, because the n-type region between two rings will
be depleted too fast. If the electric field around the main ring
is lower, then the breakdown will happen at the last ring. T –
0.4 m and T – 0.6 m have been simulated (T ˙ x: all the
ring spacings decrease or increase x m), and the results provide that if the decreasing of spacing is more than 0.4 m, the
electric field at the last ring is higher than those in the middle
of the termination, so that the breakdown will happen at the
end of the termination. The electric field around the main ring
increases with the ring spacing very slowly. Terminations T
C 0.4 m and T C 0.6 m also have been simulated. With
the increasing more than 0.6 m, the electric field around the
main ring will be higher than those in the middle of the termination. The big spacing means a lower electric field at the
end of the termination, so that the other parts of the termination must support a higher electric field. If the ring spacing is
too big, the rings at the end of the termination will be lost.
The breakdown voltage of the edge termination with floating guard rings is sensitive to the presence of an interface
charge between the semiconductor and insulatorŒ8 . The simulations with different specific interface charge densities have
been performed, and the electric field distributions are shown
in Fig. 3. A negative charge will produce an extension of the
depletion layer along the surface. If the negative charge density is 1 1012 cm 2 , the electric field at the last ring is higher
than those in the middle of the termination; this is equivalent to
a spacing decrease of 0.4 m. The positive charge has the opposite effect on the depletion layer at the surface; this is equivalent to an increase of the ring spacing. There is not an electric
field at the end of termination, and the electric field crowds
around the main ring. The rings at the end of the termination
have been lost. The charge density can be determined to optimize ring spacing. The interface charge can produce a wide
distribution in the breakdown voltages of the power devices. In
the case of a negative charge, more floating field rings must be
Fig. 2. Distribution of electric field for reverse bias 10 kV for different
ring spacings.
used to mitigate this problem. In the case of a positive charge,
the number of rings with small ring spacing must be increased.
3. Fabrication
The 10 kV 4H-SiC JBS diodes were fabricated on nC type
conductivity 4H-SiC substrates, as shown in Fig. 4. An epitaxial n drift layer was grown on n-type substrates with a doping
concentration of 6 1014 cm 3 , and the epitaxial thickness
was 100 m to obtain a high breakdown voltage. The termina-
074005-2
J. Semicond. 2014, 35(7)
Huang Runhua et al.
Fig. 5. Measured reverse I –V characteristic for different terminations.
parameter, respectively. The active JBS regions and edge termination were implemented by an optimized Al multiple hot
implantation with a dose of 1.8 1018 cm 2 to a maximum
energy of 550 keV. The ion implantation activation annealing
was performed at 1650 ıC for 30 min in Ar. After that, a 0.2 m
thick field SiO2 and a 0.3 m thick SiN were deposited on the
surface as passivation. The anode and the cathode were formed
by Ni. The Schottky contact annealing was carried out at 600 ıC
for 10 min.
4. Characterization
4.1. Reverse characteristics
Fig. 3. Distribution of electric field for reverse bias 10 kV for different
specifies interface charge densities.
Reverse performance has been characterized up to a leakage current of 200 A. We have obtained capable blocking
of more than 10 kV with a ring spacing of termination T C
0.2 m, which is 77% of the ideal breakdown voltage. Figure 5 shows the reverse I –V characteristics of the fabricated
4H-SiC diode. The breakdown voltages of 7 kV and 8 kV are
obtained respectively with the terminations T – 0.2 m and
T . The termination efficiency increases with the ring spacing.
According to the simulation results, the ring spacing is smaller
than the optimal value, so part of the passivation layer at the
end of termination is burned during the test. The ring spacing
will decrease during the fabrication because of the implantation spreading and the mask etching. In the next work, the ring
spacing and number will be increased to obtain a higher termination efficiency.
4.2. Forward characteristics
The diode has been forward characterized at room temperature. To limit the device auto-heating, these characteristics
have been obtained in pulse mode with a pulse width of 200 s.
At 13 A/cm2 , the voltage drop is 2.7 V. A threshold voltage
lower than 1.4 V has been achieved. Typical forward characteristics are presented respectively in Fig. 6.
Fig. 4. Fabricated 10 kV 4H-SiC JBS diodes.
tion with a total width of 450 m is composed of 60 guard
rings with a width of 4.0 m. According to the simulation
results, three different ring spacings of T
0.2 m, T and
T C 0.2 m were used to determine the optimal termination
5. Summary
10 kV 4H-SiC JBS diodes have been fabricated. According
to the simulation results, an epitaxial n drift layer (100 m,
074005-3
J. Semicond. 2014, 35(7)
Huang Runhua et al.
than 1.4 V.
References
Fig. 6. Forward I –V characteristics at room temperature.
6 1014 cm 3 / was grown on nC type conductivity 4HSiC substrates. The floating guard rings termination was simulated. Three different ring spacings were used. The simulations with different specifies interface charge densities have
been performed to study the influence of interface charge on
the termination electric field distribution. Reverse and forward
characterizations were performed at room temperature. Breakdown voltage exceeds 10 kV corresponding to a protection efficiency of 75%. The forward voltage drop at a current density
of 13 A/cm2 is less than 2.7 V. The threshold voltage is lower
[1] Millán J, Godignon P. Wide band-gap power semiconductor devices. Spanish Conference on Electron Devices (CDE), 2013:
293
[2] Jayant B B. Analysis of a high-voltage merged p–i–n/Schottky
(MPS) rectifier. IEEE Electron Device Lett, 1987, 8(9): 407
[3] Zhao J H, Alexandrov P, Li X. Demonstration of the first 10kV 4H-SiC Schottky barrier diodes. IEEE Electron Device Lett,
2003, 24(6): 402
[4] Imhoff E A, Hobart K D. High-current 10 kV SiC JBS rectifier
performance. Mater Sci Forum, 2008, 600–603: 943
[5] Nguyen D M, Huang R, Phung L V, et al. Edge termination design improvements for 10 kV 4H-SiC bipolar diodes. Mater Sci
Forum, 2013, 740–742: 609
[6] Niwa H, Feng G, Suda J, et al. Breakdown characteristics of 1220 kV-class 4H-SiC PiN diodes with improved junction termination structures. 24th International Symposium on Power Semiconductor Devices and ICs (ISPSD), 2012: 381
[7] Huang Runhua, Tao Yonghong, Chen Gang. Simulation, fabrication and characterization of 6500 V 4H-SiC JBS diode. Adv
Mater Research, 2014, 846/847: 737
[8] Tao Y H, Huang R H, Chen G, et al. 4.5 kV SiC JBS diodes. Appl
Mechan Mater, 2013, 347–350: 1506
[9] Li Y, Zhao Z F, Li Z H. Epitaxial growth of SiC epilayers for
10 kV Schottky diodes using chloride-based CVD. Adv Mater
Research, 2014, 887/888: 462
074005-4
Download